Enseñanza de sistemas digitales usando lenguajes de descripción de hardware

dc.creatorRamón Suárez, Jorge Hernando
dc.creatorMartínez Santos, Juan Carlos
dc.date2003-05-01
dc.date.accessioned2022-03-14T20:29:30Z
dc.date.available2022-03-14T20:29:30Z
dc.descriptionEl presente artículo analiza la conveniencia de utilizar los lenguajes de descripción de hardwareen la enseñanza de la materia de Sistemas Digitales que aparece en los planes de estudio deIngenierías Eléctrica, Ingenieria Electrónica e Ingeniería de Sistemas.Para lograr lo anterior se debe iniciar el estudio de los conceptos de lenguajes de descripción dehardware (HDL) y dispositivos lógicos programables (PLD) de tal modo que pueda llegar atecnologías más avanzadas como los FPGA, CPLD y el lenguaje de descripción estandarizadoVHDL.También se quiere destacar que dentro del juego de herramientas que debe tener el ingenieroelectrónico moderno, la informática, y en particular el software, juega un papel muy importante.En un principio los resultados han sido alentadores, representados en una actitud más receptivapor parte de los estudiantes, reflejada en la elaboración de aplicaciones especificas de medianacomplejidad empleando en ellas la GAL ATF22 VIO y desarrollando el diseño con ayuda dellenguaje WinCUPL.es-ES
dc.descriptionThe present article analyzes the convenience of using the hardware description languages ​​in the teaching of the course of Digital Systems that appears in the curricula of Electrical Engineering, Electronic Engineering and Systems Engineering. To achieve this, the study of the concepts of hardware description languages ​​(HDL) and programmable logic devices (PLD) must be started in such a way that it can reach more advanced technologies such as the FPGA, CPLD and the standardized description language VHDL .We also want to emphasize that within the set of tools that the modern electronic engineer must have, computer science, and in particular software, plays a major role. Initially the results have been encouraging, represented in a more receptive attitude on the part of the students, reflected in the elaboration of specific applications of medium complexity using in them the GAL ATF22 VIO and developing the design with the help of the language WinCUPL.en-US
dc.formatapplication/pdf
dc.identifierhttps://revistas.uis.edu.co/index.php/revistauisingenierias/article/view/2437
dc.identifier.urihttps://noesis.uis.edu.co/handle/20.500.14071/8189
dc.languagespa
dc.publisherUniversidad Industrial de Santanderes-ES
dc.relationhttps://revistas.uis.edu.co/index.php/revistauisingenierias/article/view/2437/2768
dc.rights.accessrightsinfo:eu-repo/semantics/openAccess
dc.rights.coarhttp://purl.org/coar/access_right/c_abf2
dc.rights.creativecommonsAtribución-NoComercial-SinDerivadas 4.0 Internacional (CC BY-NC-ND 4.0)
dc.rights.licenseAttribution-NonCommercial 4.0 International (CC BY-NC 4.0)
dc.sourceREVISTA UIS ENGENHARIAS; v. 2 n. 1 (2003): Revista UIS Ingenierías; 35-39pt-BR
dc.sourceRevista UIS Ingenierías; Vol. 2 Núm. 1 (2003): Revista UIS Ingenierías; 35-39es-ES
dc.sourceRevista UIS Ingenierías; Vol. 2 No. 1 (2003): Revista UIS Ingenierías; 35-39en-US
dc.source2145-8456
dc.source1657-4583
dc.subjectDigital Systemen-US
dc.subjectHardware Description Language (HDL)en-US
dc.subjectProgrammable Logic Devices (PLD)en-US
dc.subjectUniversal Programmable Logic Compiler (CUPL)en-US
dc.subjectGeneric Array Logicen-US
dc.subjectSistema digitales-ES
dc.subjectlenguaje de descripción de hardware (HDL)es-ES
dc.subjectdispositivos lógicos programables(PLD)es-ES
dc.subjectcompilador universal de lógica programable (CUPL)es-ES
dc.subjectlógica de arreglos genéricos (GAL)es-ES
dc.titleEnseñanza de sistemas digitales usando lenguajes de descripción de hardwarees-ES
dc.titleTeaching digital systems using hardware description languagesen-US
dc.typeinfo:eu-repo/semantics/article
dc.typeinfo:eu-repo/semantics/publishedVersion
dspace.entity.type
Files